平特五不中

Getting Started

Interested in any instrument?

Would you like to receive the MIAM newsletter and be notified of future MIAM events?

Lithography

Instruments:

UV Aligner 1触听UV Aligner 2触听Develop Station触听听Spray Coater触听Manual Spin Coat Station触听听Spin Coater 2| Hot Plate

UV听Aligner 1

Make & Model: EVG 620 (Year 2002)

UV Aligner 1

Specifications:

  • 6 inch compatible aligner. Down 0.8um in resolution 1um topside alignment
  • 5 exposure modes: vacuum contact, vacuum hard, hard contact, soft contact, and proximity
  • frontside and backside alignment
  • objectives available: 10X, 20X, 50X, and flat objective (for pieces)
  • bonding chucks for anodic bonding, thermal compression bonding and fusion bonding.
  • 2" chuck can be used for 2" wafers and pieces as well
  • minimum resolution in dark field = 0.7 碌m line and <1 碌m contacts
  • minimum resolution in clear field = 2 碌m line and 2 碌m contacts
  • 2", 4" and 6" wafers, 3", 5" and 7" masks
  • max wafer thickness 4.6 mm

UV听Aligner 2

Make & Model: EVG 620 (Year 2018)听

UV Aligner 2

Specifications:

  • Interchangeable mask frame and bond tools permit alignment of mask-to-wafer or wafer-to-wafer.
  • Frontside mask-to-wafer alignment accuracy to <0.6 microns.
  • Precision front-to-backside alignment to < 1 micron.
  • Four different mask exposure modes: proximity, soft- and hard- contact, and vacuum contact.
  • Fully motorized, split field microscopes with multiple objectives for wafer top and bottom side viewing.
  • Backside alignment modes: optical image capture, user-specified cross-hairs, or customized alignment keys.
  • Near UV (350 - 450 nm) lamp source.

Develop Station

Make & Model: Laurell WS-1000MH/EDC-650-8NPP

听 听 听 听

Specifications:

  • Developing station for wafers (2, 3, 4 and 6 inches) and photomasks (3, 5 and 7 inches)
  • Developers available: MF-319, AZ 400K, AZ 726 MIF, AZ 300

Spray Coater

Make & Model: EVG 101听

spray coater

Specifications:

  • Wafer size up to 300 mm
  • Automated spin or spray coating or developing with manual wafer load/unload
  • Quick and easy process transfer from research to production utilizing proven modular design and standardized software
  • Syringe dispense system for utilization of small resist volumes, including high-viscosity resists
  • Small footprint while maintaining a high level of personal and process safety
  • Multi-user concept (unlimited number of user accounts and recipes, assignable access rights, different user interface languages)

Options:

  • Uniform coating of high-topography wafer surfaces with OmniSpray庐 coating technology
  • Wax and epoxy coating for subsequent bonding processes
  • Spin-On-Glass (SOG)

Manual Spin Coat Station

Make & Model: Laurell WS-400B-6NPP/LITE

manual spin coat station machine

Specifications:

Manual tabletop spinner with vacuum check and nitrogen purge, mainly for spin photoresists, support upto 6 inch wafer.


Spin Coater 2

Make & Model: MicroNanotools KW-4A

spin coater 2

Specifications:

Manual coater for PDMS and polyimide material, up to 6 inch wafer


Hot Plate

hot plate

Applications:

Soft and hard baking photoresist

Physical Vapor Deposition

Instruments:

Sputter | Ebeam Evap1 | Ebeam Evap2

Sputter

Make & Model: Denton E14

sputter

Specifications & Applications:

  • Denton Vacuum Explorer 14 Sputtering System is used to deposit the thin films of dielectric material or metals by using magnetron gun
  • It supports up to 6 inch wafer, two DC, one RF, heated stage up to 250听掳C


Ebeam Evap 1

Make & Model: BJD 1800

ebeam evaperation 1 machine

Specifications & Applications:

  • The model BJD-1800 (Al) provides thin film coating of virtually any material quickly, cleanly and efficiently.
  • Our system is equipped with 10 kW e-Gun power supply, 4 pocket material source, thickness monitoring, sample heater, and indirect temperature monitoring.
  • A variety of evaporation source materials are available.
  • A base pressure in the system is 2 x 10-7 Torr.
  • The vacuum recommended for deposition is 2 x 10-6 Torr or better.
  • Planar and planetary rotatable sample holders are available.

Ebeam Evap 2

Make & Model: Angstrom Scientific Nexdep

Ebeam evaporation 2 machine

Specifications & Applications:

  • The NexDep evaporator equipped with a 6-pocket gun and a 10kW power supply.
  • 6 pocket material source, thickness monitoring, sample heater, up to 6 inch wafer
  • Material available are Au, Ti, Cr, Pd, Pt, Cu, NiFe, Ni, Al ...

Chemical Vapor Deposition

Instruments:

RIE/PECVD | Atomic Layer Deposition System听| 4-Stack-Furnaces听| Parylene Coater

RIE/PEVCD

Make & Model: Applied Material P5000

RIE/PEVCD

Specifications and Applications:

  • P5000 is a load-locked, magnetically enhanced reactive ion etching system (MERIE) with 4l process chambers (ChambersA, B,C&D).
  • P5000 Chamber A is mainly used for metal etching
  • Chamber B is primarily used for etching silicon based dielectrics (silicon dioxide, silcon nitride, etc.) and some carbon based compounds (resist, poly imide, etc)
  • Chamber C is mainly uused for silicon etching with high slectivity to underlying dielectric such as silicon dioxide
  • Chember D is PECVD, mainly for dielectric deposition such as SiN and PolySi. The system can process only 6" wafers.
  • Though the process chamber processes one at a time, upto 25 wafers can be loaded per batch.


Atomic Layer Deposition (ALD)

Make & Model: Arradiance Gemstar8 ALD

Atomic Layer Depostion

Specifications &听Applications:

GEMStar ALD systems deposit metal, semiconductor and insulating films, support 6 inch wafer, up to 6 wafers a batch
Processes Available

  • thermal Aluminum oxide
  • thermal Titanium oxide
  • thermal Silicon oxide
  • thermal Hafnium oxide

4-Stack-Furnaces

Make & Model: Tylan Titan

4 stack furnaces

Specifications & Applications:

Deposit films of nitride, undoped poly, undoped LTO and phosphorous doped LTO.


Parylene Coater

Make & Model:听Specialty Coating Systems SCS 200

parylene coater

Specifications & Applications:

Parylene coating system, C, D, N, 10 nm to 8 um

Dry Etching听

Instruments:

Xenon Difluoride Etcher | High Density Plasma Treatment System | DRIE | ICP

Xenon Difluoride Etcher

Make & Model: Xactix E2

xenon

Specifications & Applications:

  • The XACTIX XeF2听etching system is an isotropic silicon etching system.
  • XeF2听etching is a dry, room temperature process. It is particularly well suited to MEMS applications.
  • This system can provide nearly infinite selectivity of silicon to photoresist, SiO2, Si3N4, Al, and Cr.
  • The system process chamber can accommodate individual die, pieces of wafer, and full wafers up to 6鈥 in diameter.
  • The recipe-driven control software will manage multiple users and log all process data.

High Density Plasma Treatment System

Make & Model: Nanoplas Model DSB 600

High Density Plasma Treatment System

Specifications & Applications:

  • Advanced plasma cleaning for 150mm Features Batch processing of up to 25 wafers (150mm)
  • Single-wafer processing up to 200mm Single-wafer stage heating up to 250掳C
  • Heated quartz chamber up to 180掳C 600W ICP source (13.56MHz)
  • External vacuum pump PLC control with touch-screen interface, primarily made to strip, descum photoresist and remove polymers deposited during other Plasma etching processes


DRIE

Make & Model: Tegal SDE 110

DRIE

Specifications & Applications:

  • This instrument is an ICP reactive ion etcher configured to do deep Silicon etching using the patented Bosch process
  • Etch rate up to 30um/min is achievable along with AR up to 100:1
  • The instrument has also the capacity to etch oxides and glasses without wet cleaning the chamber UP TO 6-inch wafer


ICP

Make & Model: PlasmaTherm APEX SLR

ICP equipment

Specifications & Applications:

The Plasma-Therm Apex SLR is a High Density Plasma Chemical Vapor Deposition (HDPCVD) system. It uses an Inductively Coupled Plasma (ICP) source to generate higher density plasma than a听PECVD system听providing deposition at lower temperatures for higher quality, low temperature films.

  • 1 kW 2 MHz ICP source
  • 300 W, 13.56 MHz electrode
  • 254 mm plate
  • Low temperature deposition, typically 80 掳 - 150 掳C
  • Liquid-to-liquid heat exchanger
  • Compatible with film etching for SiNx, SiO2, a-Si, SiOxNy, SiC, Ta2O5.
  • Compatible with film etching for polymers such as photoresist and polyimide
  • Endpoint Detection
  • ANSI-ISA 88 data logging and recipe management

Wet Bench

Instruments:

Si Etch Wetbench | Acid Wetbench| HF Wetbench | Solvent Wetbench | Litho Wetbench听| CPD听| Rinser Dryer

Si Etch Wetbench (Base Wetbench)

Make & Model: SIC Wetbench

Si Etch Wetbench

Applications:

The wet bench is specifically for base process, mainly for TMAH and KOH based Silicon etching


Acid Wetbench

Make & Model: SIC Wetbench

acid wetbench

Applications:

The acid bench for sample cleaning, metal etching


HF Wetbench

Make & Model: Reynold

hf etch

Applications:

Wet bench, specifically for HF etching


Solvent Wetbench

Make & Model: SIC Solvent Wetbench

solvent wetbench

Applications:

Wet bench for sample cleaning, stripping, lift-off and PR development.


Litho Wetbench

Make & Model: SIC Litho Wetbench

litho wetbench

Applications:

Wetbench for general sample cleaning, PR development


CPD

Make & Model: Tousimis听automegtadis

cpd

Specifications:

  • Process up to 6" (150mm) wafers, Automatic Supercritical Point Dryer
  • Wafer holders and inserts are provided that allow anti-stiction processing up to 5 pieces of either 6" (150mm), 4" (100mm), 3" (75mm), 2" (50mm) wafers or 10mm square die per process run


Rinser Dryer

Make & Model: Verteq 8000

rinser dryer

Applications:

Automatic wafer cleaning with DI and spin drying with nitrogen.

Annealing and Oxidation

Instrument: Rapid Thermal Annealing

Rapid Thermal Annealing

Make & Model: Qualiflow Jetfirst

rapid thermal annealing insiderapid thermal annealing machineRapid thermal annealing

Specifications:

  • RTP processor for wafers up to 8 " wafer
  • Temperature range: ambient to 1300 掳C
  • Ramp rate: 1掳C/s to 300 掳C/s
  • Gases available: N2, H2/N2 (forming gas), O2, Ar

Wafers Bonding Sawing and Packaging

Instruments:

Wafer Bonder | Dicing Saw听| Wire Bonder

Wafer Bonder

Make & Model: EVG 501

wafer bonderSpecifications:

6inch wafer bonder for anodic, direct and eutectic bonding.


Dicing Saw

Make & Model: Disco DAD3240

dicing saw machine

Specifications:

  • Up to 6inch wafers
  • Automatic control
  • Glass and silicon blade


Wire Bonder

Make & Model: WestBond 747677E

wire bonder

Specifications:

Manual ultrasonic wedge wire bonder

Direct Writing

Instruments:

Laser Writer | Nano Frazor | Electron Beam Litho

Laser Writer

Make & Model: Kloe Dilase 650

laser writer machine

Specifications & Applications:

  • The High resolution direct laser lithography system听Dilase 650 offers you the possibility to work with听one or two writing lasers, to be focused into one to two beam sizes ranging from听1碌m to 50 碌m.
  • It allows the writing on any type of substrate (photomasks, semiconductors, glass, polymers, crystals, flexible films...) over a surface area as large as 6 inches

Nano Frazor

Make & Model: Heidelberg Explorer

nanno frazor station

Specifications:

  • Thermal Scanning Probe cantilevers with ultra-sharp tips
  • 3D nanolithography
  • In-situ metrology with sub-nm resolution for overlay, stitching & closed-loop lithography
  • Real-time, automatic tuning of patterning parameters
  • Short overall fabrication time, no resist development needed
  • Stand-alone unit with low requirements on infrastructure (no vacuum or high voltages required)
  • High degree of customization and automatization
  • Ideal for small workpieces up to a size of 4-inch
  • Compatibility with various transfer processes and materials
  • Exchange and calibration of cantilevers within one minute

Electron Beam Litho

Make & Model: Tescan MIRA3 XMH

electron beam litho

Specifications:

1.2nm resolution at 30KV, with NPGS software

Characterization

Instruments:

SEM 触听Ellipsometer听触听Optical Profiler听触听Optical Microscope听触听Reflectometer听触听Profilometer听触听Stress Measurement听听

SEM

Make & Model: Tescan VEGA 3 XMH

SEM in clean room

Specifications:

  • High vacuum chamber
  • Accelerating voltage: 200V 鈥 30KV
  • Best resolution: 2nm at 30kV

Applcations:

This SEM is for imaging and characterization of the samples


Ellipsometer

Make & Model: Spora GES-5E

Ellipsometer

Specifications:

  • All measurements are made automatically as a function of: wavelength, angle of incidence, polarization state and time.
  • Standard spectral range is 230-900 nm

Applications:

  • GES5E Optical Platform allows various measurement modes from Standard Ellipsometry to generalized Ellipsometry going thru photometric measurements (in Transmission and Reflection), Scatterometry, luminescence measurements.

Optical Profiler

Make & Model: Wyto NT8000

optical profiler

Specifications:

  • Optical profiler, with 8mm scan length
  • 5x and 50x objectives
  • 8" programmable stage
  • LED illumination system

Optical Microscope

Make & Model: Olympus MX51

optical microscope

Specifications:

Sample inspection, 5x, 10x, 20x, 50x


Reflectometer

Make & Model: Filmetrix F10-RT

optical microscope

Specifications:

  • Thickness range锛15nm-70um
  • Wavelength range: 380-1050nm

Profilometer

Make & Model: Ambios XP200

Profilometer

Specifications:

  • Height range upto 800um
  • Scan length upto 55mm support 200mm wafer with vacuum

Applications:

  • A stylus scans the sample surface at a programmable speed, while its position is sampled at small and regular intervals
  • The data is logged and then processed by the application software to extract useful information such as step height, surface roughness and waviness

Stress Measurement

Make & Model: Flexus 5200

Stress measurement

Specifications &听Applications:

  • The Flexus 5200 Film stress meter system calculates film stresses.
  • It optically measures a wafer curvature radius with and without the deposited film; knowing the wafer thickness, the film thickness and the wafer modulus, Stoney's equation yields the film stress.
Back to top